Archive: Failed to call dll.


Failed to call dll.
Hi all,

I would like to call an external dll using the system.dll plugin, and I could manage it with documents and samples.
I could load dll only with my development PC, but always failed other PCs.
It seems failed to load dll. I checked whether the dll file exist under the $TEMP.
What should I check more ?
---------------------------------------------------------------------------------
SetOutPath $TEMP\Ds
File C:\Lib\InstallWrapper.dll

System::Call 'InstallWrapper::CallTest(i, *i) i(r0, .r1) .r5'
DetailPrint 'return : $5'
DetailPrint 'pointer : $1'
---------------------------------------------------------------------------------
Thanks,


Open you dll in http://www.dependencywalker.com/ on the system that fails, you are probably missing C runtime or something like that


Thanks,
It resolved.